-
Fototrend
Ez itt, az elektronikával hobbiból foglakozók fórumtémája.
Lentebb összegyűjtötttem néhány elektronikával kapcsolatos, hasznos linket.
Új hozzászólás Aktív témák
-
CPT.Pirk
Jómunkásember
Nem tudom ki foglalkozott itt VHDL kóddal, de lenne egy kérdésem.
Kellene egy 24 bites fel / le számláló CPLD nekem, ami nem a rendszer órajelét számolja, hanem az egyik bemeneten érkező impulzusra felfelé számol, a másikon érkezőre meg lefelé.
Csináltam egy while ciklusos megoldást, de ez nem igazán HW-re való megoldás, a top modul implementációnál le is akad, mert a while ciklusnak nincs vége.library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity updown is
GENERIC(X : INTEGER := 24);
port(CLR, UP, DOWN : in STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( (X-1) downto 0));
end updown;
architecture Behavioral of updown is
signal tmp: STD_LOGIC_VECTOR ( (X-1) downto 0);
begin
process (CLR, UP, DOWN)
begin
while (1=1) loop
if (CLR='1') then
tmp <= "000000000000000000000000";
elsif (UP = '1' and DOWN = '0') then
tmp <= tmp + 1;
elsif (UP = '0' and DOWN = '1') then
tmp <= tmp - 1;
end if;
Q <= tmp;
end loop;
end process;
end Behavioral;Ez az elgondolás a programozásból fakad, valakinek van valami jobb ötlete? Googliztam már a témában, de leginkább az óra jelet számolgatják, nem impulzusokat.
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
Új hozzászólás Aktív témák
- BestBuy topik
- Villanyszerelés
- S.T.A.L.K.E.R.: Shadow of Chernobyl
- Senua's Saga: Hellblade II - Íme a végleges gépigény
- GoodSpeed: Windows 11 PRO FPP (Full Packaged Product) - Retail, Box, dobozos
- Politika
- exHWSW - Értünk mindenhez IS
- Autóhifi
- D1Rect: Nagy "hülyétkapokazapróktól" topik
- NBA és kosárlabda topic
- További aktív témák...
- EDIFIER R1700BTS hangfal pár makulátlan, új állapotban, 2 év hivatalos garanciával, alkalmi áron
- LG OLED55B23LA 2 Év GYÁRI GARANCIA
- Apple iPhone XR 128GB, Kártyafüggetlen, 1 Év Garanciával
- Gamer PC , i7 12700KF , RTX 3080 Ti , 64GB DDR5 , 960GB NVME , 1TB HDD
- Intel PC , i5 8500 , 1660 6GB , 32GB DDR4 , 512GB NVME , 500GB HDD
Állásajánlatok
Cég: Ozeki Kft.
Város: Debrecen
Cég: Promenade Publishing House Kft.
Város: Budapest